پاسخ : یک سوال در مورد vhdl
سلام به دوست خوبم
من برنامه زیر رو نوشتم از لحاظ گرامری هیچ مشکلی نداره فقط از لحاظ منطقی نمیدونم
چه مشکلی داره. حالا اگه میشه شما یک بررسی بکنید چرا ممنون میشم.
فقط من برای لبه بالا به پایین که دستورات lcd رو اجرا میکنه کلاک رو به en دادم.
باز هم صمیمانه ممنونم
سلام به دوست خوبم
من برنامه زیر رو نوشتم از لحاظ گرامری هیچ مشکلی نداره فقط از لحاظ منطقی نمیدونم
چه مشکلی داره. حالا اگه میشه شما یک بررسی بکنید چرا ممنون میشم.
فقط من برای لبه بالا به پایین که دستورات lcd رو اجرا میکنه کلاک رو به en دادم.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity lcd is
port ( clk_in : in std_logic;
en : out bit;
db : out std_logic_vector (8 downto 0)); --db = rs,lcadb0-db7
end lcd;
architecture Behavioral of lcd is
signal clk:bit;
begin
process (clk_in)
variable count : integer := 0;
begin
if rising_edge(clk_in) then
if (count<40000) then
clk <= '1';
count := count + 1;
elsif (count>=40000 and count<80000) then
clk<='0';
count := count + 1;
elsif (count=80000) then
clk<='1';
count := 1;
end if;
end if;
end process;
-----------------------------------------------------------------
process (clk)
variable Counter: Integer range 0 to 10 := 0;
begin
en <= clk; -- faal saze lcd
case counter is
when 0 =>
db <= "000000001";
-- clear lcd
counter := counter + 1;
when 1 =>
db <= "000111000";
-- select two line disply
counter := counter + 1;
when 2 =>
db <= "000001110";
-- turn on disply and cursos
counter := counter + 1;
when 3 =>
db <= "000000110";
-- set mode to increment address
counter := counter + 1;
when 4 =>
db <="101001001"; --I
counter := counter + 1;
when 5 =>
db <= "101010010"; -- R
counter := counter + 1;
when 6 =>
db <= "101000001"; --A
counter := counter + 1;
when 7 =>
db <= "101001101"; --N
counter := counter + 1;
when others =>
null;
end case;
end process;
end Behavioral;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity lcd is
port ( clk_in : in std_logic;
en : out bit;
db : out std_logic_vector (8 downto 0)); --db = rs,lcadb0-db7
end lcd;
architecture Behavioral of lcd is
signal clk:bit;
begin
process (clk_in)
variable count : integer := 0;
begin
if rising_edge(clk_in) then
if (count<40000) then
clk <= '1';
count := count + 1;
elsif (count>=40000 and count<80000) then
clk<='0';
count := count + 1;
elsif (count=80000) then
clk<='1';
count := 1;
end if;
end if;
end process;
-----------------------------------------------------------------
process (clk)
variable Counter: Integer range 0 to 10 := 0;
begin
en <= clk; -- faal saze lcd
case counter is
when 0 =>
db <= "000000001";
-- clear lcd
counter := counter + 1;
when 1 =>
db <= "000111000";
-- select two line disply
counter := counter + 1;
when 2 =>
db <= "000001110";
-- turn on disply and cursos
counter := counter + 1;
when 3 =>
db <= "000000110";
-- set mode to increment address
counter := counter + 1;
when 4 =>
db <="101001001"; --I
counter := counter + 1;
when 5 =>
db <= "101010010"; -- R
counter := counter + 1;
when 6 =>
db <= "101000001"; --A
counter := counter + 1;
when 7 =>
db <= "101001101"; --N
counter := counter + 1;
when others =>
null;
end case;
end process;
end Behavioral;
باز هم صمیمانه ممنونم

دیدگاه