اطلاعیه

Collapse
No announcement yet.

اشکال در کامپایل برنامه

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    #16
    پاسخ : اشکال در کامپایل برنامه

    واااای ببخشید :redface:
    راستشو بخواید برنامه رو توISE نوشته بودم error داد کپیش کردم تو active hdl
    شاید به خاطر این بوده
    الان برنامه رو توactive hdl اجرا کردم مشکلش برطرف شد ولی نمیدونم چرا تو ISE که اجراش میکنم باز error میده :angry:
    بازم بابت راهنماییت خیلی خیلی ممنون

    دیدگاه


      #17
      پاسخ : اشکال در کامپایل برنامه

      سلام
      یه سوال
      اگه بخوام با یه کلید یه LEDرو روشن خاموش کنم باید چه جوری برنامش رو بنویسم
      اینجوری درسته

      entity led888 is
      Port ( klid : in STD_LOGIC;
      led : out STD_LOGIC);
      end led888;

      architecture Behavioral of led888 is

      begin
      process(klid)
      led<='1' when klid='0'
      else '0';

      end Behavioral;

      روش بهتری وجود نداره

      دیدگاه


        #18
        پاسخ : اشکال در کامپایل برنامه

        نوشته اصلی توسط r2d
        سلام
        یه سوال
        اگه بخوام با یه کلید یه LEDرو روشن خاموش کنم باید چه جوری برنامش رو بنویسم
        اینجوری درسته

        entity led888 is
        Port ( klid : in STD_LOGIC;
        led : out STD_LOGIC);
        end led888;

        architecture Behavioral of led888 is

        begin
        process(klid)
        led<='1' when klid='0'
        else '0';

        end Behavioral;

        روش بهتری وجود نداره


        سلام چطوری دادا دلمون برات تنگ شده بود

        این هم برنامه درخواستی
        ENTITY LEDflasher IS
        PORT ( button : IN BIT ;
        led : OUT BIT ;
        );

        ARCHITECTURE Behavioral of LEDflasher is
        BEGIN

        led <= NOT(button);

        END Behavioral;


        فقط توجه داشته باش که کلید باید pullup بشه
        برای سادگی هم از مدار ترکیبی استفاده کردیم
        ولی همین برنامه رو میشه به صورت ترتیبی هم نوشت

        راستی درست سوالتون رو فهمیدم ؟؟ باید وقتی یک کلید رو فشار میدادید چراغ روشن میشد و وقتی ولش میکردید خاموش؟؟
        یا میخواستید با هر بار فشار دادن یک بار خاموش و بار دیگر روشن بشه؟؟
        برای قسمت دوم سادش اینه که از FSM یا همون ماشین حالت محدود استفاده کنید و یا هر راهی که به ذهنتون میرسه

        دیدگاه


          #19
          پاسخ : اشکال در کامپایل برنامه

          بازم از لطفتون ممنون :icon_razz:

          دیدگاه


            #20
            پاسخ : اشکال در کامپایل برنامه

            سلام
            من برنامه ی یه full_adder رو با component به صورت زیر نوشتم
            l
            ibrary IEEE;
            use IEEE.STD_LOGIC_1164.ALL;
            use IEEE.STD_LOGIC_ARITH.ALL;
            use IEEE.STD_LOGIC_UNSIGNED.ALL;

            entity fulladder_r is
            Port ( a : in STD_LOGIC;
            b : in STD_LOGIC;
            cin : in STD_LOGIC;
            cout : out STD_LOGIC;
            sum : out STD_LOGIC);
            end fulladder_r;

            architecture Behavioral of fulladder_r is
            component ha
            port (x,y :in STD_LOGIC;
            co ,s ut STD_LOGIC);
            end component;
            signal im1,im2, im3:STD_LOGIC;
            begin
            ha1:ha port map (x=>a,y=>b,s=>im1,co=>im2);
            ha2:ha port map (x=>im1,y=>cin,s=>sum,co=>im3);
            cout<=im3 and im2;

            end Behavioral;

            و برنامه نیم جمع کننده اش به صورت زیر است
            library IEEE;
            use IEEE.STD_LOGIC_1164.ALL;
            use IEEE.STD_LOGIC_ARITH.ALL;
            use IEEE.STD_LOGIC_UNSIGNED.ALL;

            entity ha is
            Port ( x : in STD_LOGIC;
            y : in STD_LOGIC;
            s : out STD_LOGIC;
            c : out STD_LOGIC);
            end ha;

            architecture Behavioral of ha is

            begin
            s<=x xor y;
            co<x and y;
            end Behavioral;

            ولی نمیدونم باید چه جوری این دو تا برنامه رو به هم ربط بدم
            لطفا راهنماییم کنید

            دیدگاه


              #21
              پاسخ : اشکال در کامپایل برنامه

              لطفا جواب منو بدید :cry2:

              دیدگاه

              لطفا صبر کنید...
              X