اطلاعیه

Collapse
No announcement yet.

راهنمایی در مورد VHDL

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    راهنمایی در مورد VHDL

    من چندتا سوال داشتم
    1- در نوشتن برنامه با vhdl و استفاده از حلقه for loop چطوری میشه گامهای پرش رو بیشتر از 1 انتخاب کرد( من تو اینترنت خیلی گشتم انگار ظاهرا نمیشه)
    2- من وقتی تو نرم افزار ise8.1 از حلقه while استفاده میکنم .error میده و میگه قابل سنتز نیست و جایی خوندم که تحت شرایطی قابل سنتز هست ولی شرایطش رو ننوشته بود.
    اگه کسی کمک کنه ممنون میشم :nice:
    من دشمن تو و عقاید تو هستم ولی حاضرم در راه آزادی عقیده ات جانم را فدا کنم. (ولتر)

    #2
    پاسخ : سوال و سوال ؟؟؟؟

    سلام به دوستان
    اقا یکی جواب ما رو بده :angry: :cry:
    1- چطوری میشه تو زبان برنامه نویسی VHDL از حلقه For LOOP با گامهای بیشتر از یک استفاده کرد
    2- من از حلقه while loop تو نرم افزار ise 8.1 مال شرکت xilinx استفاده کردم ولی error زیر به من میده
    ERROR:Xst:1312 - Loop has iterated 64 times. Use "set -loop_iteration_limit XX" to iterate more.

    شکل حلقه من به صورت زیر
    WHILE j<25 LOOP
    j :=j+2;
    END LOOP;
    ا
    لبته من رفتم تو سایتش و search که کردم جواب زیر رو گرفتم ولی متوجه نش




    Solution
    This error occurs if XST encounters a loop (typically a "while loop&quot that does not have a discrete termination. Rather than unrolling the loop forever, XST fails with this error during HDL analysis. A line number is not provided, but the error is reported within the context of the entity/module that is being analyzed.

    Examine the loop in your source code and make sure that it is bounded by finite values before running synthesis again.

    If the loop does have a finite number of iterations and that number is greater than 64, you can apply the "-loop_iteration_limit" command as mentioned in the error message. This command is not available from Project Navigator under the "Other XST Command Line Options" selection; these options are "run" commands. However, the loop command is a "set" command (it defines the working environment).

    If you are using Project Navigator In ISE 7.1i and below:
    1. Look in the "_projnav" directory for the ".xst" file for your project.
    2. Copy this file to the main project directory (so it will not be overwritten by Project Navigator).
    3. Then add the line (as shown below) before the "run" command.

    If you are using Project Navigator in ISE 8.1i and above:
    1. Look in the main project directory for the ".xst" file for your project.
    2. Copy this file to a different name (so it will not be overwritten by Project Navigator).
    3. Then add the line (as shown below) before the "run" command.

    For example, if you want to iterate a loop 256 times, add the following before the "run" command:

    <code>
    set -tmpdir __projnav
    set -xsthdpdir ./xst
    set -loop_iteration_limit 256
    run
    -ifn top.prj
    -ifmt mixed
    -ofn top
    -ofmt NGC
    ...
    </code>

    To run your project through XST, open a command window, navigate to the project directory and run XST on this modified ".xst" file as follows:

    <code>
    xst -ifn <project>.xst
    </code>

    To continue working in ISE, you must create a new NGC-type project and use the recently created <project>".ngc" file as the source.
    من دشمن تو و عقاید تو هستم ولی حاضرم در راه آزادی عقیده ات جانم را فدا کنم. (ولتر)

    دیدگاه


      #3
      پاسخ : سوال و سوال ؟؟؟؟

      سلام.
      دوست عزیز امکانش هست برنامه ای که برای ISEآ‌نوشتید رو بذارید منم تست کنم شاید تونسیم با هم حلش کنی
      شأن انسان در ایمان و هجرت و جهاد است و هجرت، مقدمهآ‌ی جهاد فیآ‌سبیلآ‌الله.
      هجرت، هجرت از سنگینیآ‌هاست و جاذبهآ‌هایی که تو را به خاک میآ‌چسباند.
      چکمهآ‌هایت را بپوش، رهآ‌توشهآ‌ات را بردار و هجرت کن.

      دیدگاه


        #4
        پاسخ : راهنمایی در مورد VHDL

        سلام ممنون بالاخره یکی به داد ما رسید. :nice:
        library IEEE;
        use IEEE.STD_LOGIC_1164.ALL;
        use IEEE.STD_LOGIC_ARITH.ALL;
        use IEEE.STD_LOGIC_UNSIGNED.ALL;

        ---- Uncomment the following library declaration if instantiating
        ---- any Xilinx primitives in this code.
        --library UNISIM;
        --use UNISIM.VComponents.all;

        entity Counter is
        Port ( Clk : in STD_LOGIC;
        -- Cout : out INTEGER RANGE 0 TO 255;
        Cout : out STD_LOGIC_VECTOR(3 DOWNTO 0);
        Reset : in STD_LOGIC);
        end Counter;

        architecture Behavioral of Counter is
        --SIGNAL j :INTEGER :=0;
        begin
        --------------------------------------------
        -- FOR i IN 0 TO 10 LOOP
        -- END LOOP;
        --
        -- WHILE j<10 LOOP
        -- j:=j+2;
        -- END LOOP;
        ---------------------------------------------
        PROCESS(Clk)
        -- VARIABLE cnt : INTEGER RANGE 0 TO 255 :=0;
        VARIABLE j :INTEGER;
        VARIABLE cnt : STD_LOGIC_VECTOR(3 DOWNTO 0):="0000";
        BEGIN
        ----------------------------------------
        WHILE j<25 LOOP
        j:=j+3;
        END LOOP;
        -----------------------------------------
        IF(Clk='1' AND Clk'EVENT) THEN
        IF Reset='1' THEN
        cnt:="0000";
        ELSE
        cnt:=cnt+1;
        END IF;
        END IF;
        Cout<=cnt;
        --IF cnt=250 THEN
        --cnt:="0000";
        --END IF;
        END PROCESS;
        -----------------------------------------------
        end Behavioral;
        من دشمن تو و عقاید تو هستم ولی حاضرم در راه آزادی عقیده ات جانم را فدا کنم. (ولتر)

        دیدگاه


          #5
          پاسخ : راهنمایی در مورد VHDL

          سلام. خوبی دوست عزیز؟
          من برنامه رو چک کردم، سینتکس رو ایرادی نمیگیره یه اروری میداد مفهومش رو نفهمیدیم(من با Verilogآ‌ کار کردم).
          ولی برنامه رو شبیه سازی میکنه، اگه ممکنه فایل تکست بنچ رو به من بدید تست کنم نتایجش رو براتون بفرستم.
          موفق باشید.
          شأن انسان در ایمان و هجرت و جهاد است و هجرت، مقدمهآ‌ی جهاد فیآ‌سبیلآ‌الله.
          هجرت، هجرت از سنگینیآ‌هاست و جاذبهآ‌هایی که تو را به خاک میآ‌چسباند.
          چکمهآ‌هایت را بپوش، رهآ‌توشهآ‌ات را بردار و هجرت کن.

          دیدگاه


            #6
            پاسخ : راهنمایی در مورد VHDL

            سلام.
            دوست عزیز در برنامه ای که نوشتید، قبل از حلقه Whileآ‌ باید یه مقدار اولیه به jآ‌ بدید مثلا j:=0 اینطوری مشکل حل میشه
            شأن انسان در ایمان و هجرت و جهاد است و هجرت، مقدمهآ‌ی جهاد فیآ‌سبیلآ‌الله.
            هجرت، هجرت از سنگینیآ‌هاست و جاذبهآ‌هایی که تو را به خاک میآ‌چسباند.
            چکمهآ‌هایت را بپوش، رهآ‌توشهآ‌ات را بردار و هجرت کن.

            دیدگاه

            لطفا صبر کنید...
            X