سلام،
من برای استفاده ازchip scope ، وقتیآ ۲ تا core ICON & ILA رو استفاده میآکنم ، سینتکس خطا میگیرم.
کسیآ متوجه اینکه کجا ایراد داره میشه؟
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity randbit is
generic (width : integer := 32);
port (
clk :in std_logic;
-- random_num

rnd_out : out std_logic
);
end randbit;
architecture Behavioral of randbit is
--chipscope ICON
---/////////////
signal CONTROL0 : STD_LOGIC_VECTOR(35 DOWNTO 0);
component xicon
PORT (
CONTROL0 : INOUT STD_LOGIC_VECTOR(35 DOWNTO 0));
end component;
--chipscope ILA
signal ILA_DATA : STD_LOGIC_VECTOR(255 DOWNTO 0);
signal ILA_TRIG0 : STD_LOGIC_VECTOR(7 DOWNTO 0);
component xILA
PORT (
CONTROL : INOUT STD_LOGIC_VECTOR(35 DOWNTO 0);
CLK : IN STD_LOGIC;
DATA : IN STD_LOGIC_VECTOR(255 DOWNTO 0);
TRIG0 : IN STD_LOGIC_VECTOR(7 DOWNTO 0));
end component;
---/////////////
begin
process(clk)
variable rand_temp :std_logic_vector(width-1 downto 0) := (31 =>'1',28 =>'1', others =>'0'

variable temp : std_logic := '0';
begin
if (rising_edge(clk)) then
temp := rand_temp (width-1) xor rand_temp (width-2);
rand_temp(0) :=temp;
rand_temp (width -1 downto 1) := rand_temp (width -2 downto 0);
end if;
rnd_out<=rand_temp(31);
end process;
--/// CHIPSCOPE ICON & ILA
Inst_ICON : xicon
port map (
CONTROL0 => CONTROL0);
Inst_ILA: xILA
port map (
CONTROL => CONTROL0,
CLK => CLK,
DATA => ILA_DATA,
TRIG0 => ILA_TRIG0);
end Behavioral;
دیدگاه