سلام
من با CPLD epm7128 می خوام کار کنم و یه برنامه چشمکزن زدم توش؟پایه های 2 و 84 را با مقاومت به زمین و پایه 1 رامنبع تغذیه از پایه 83 به عنوان
کلاک خارجی اسیلاتوری استفاده کردم؟
اما بعد از گزروندن تمام مراحل و لود کردن تو تراشه همه پایه هام 0 سینک شده هستن؟؟؟؟/
اشکال کجاست؟مطمئنن از برنامه نیست چون من سنتز و سیمولت هم کردم؟آیا تراشه من سوخته؟
راستی چه جوری بفهمم تراشم سوخته؟یه روش آسون لطفا......؟
این هم برنامه من.....؟
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity aaa is port(
clk: in std_logic;
led: out std_logic);
end aaa;
architecture Behavioral of aaa is
signal cnt1: std_logic;
begin
process( clk)
begin
if( rising_edge( clk)) then
cnt1<= '0' ;
else if( cnt1= '1'
then
end if;
end if;
end process;
led<= cnt1;
end Behavioral ;
ضمنا من تو برنامه نویسی و هک واردم کسی خواست در مورد هر زبونی و جزوه و مقاله من در خدمتم!
یه مقاله در مورد طراحی کنترلر های قطعه قدرت در منابع تغذیه سوئیچینگ نوشتم با برنامه VHDL هر کس خواست لب تر کنه !من نوکرتونم فقط مشکل منو تو سخت افزار حل کنید؟ :rolleyes:
من با CPLD epm7128 می خوام کار کنم و یه برنامه چشمکزن زدم توش؟پایه های 2 و 84 را با مقاومت به زمین و پایه 1 رامنبع تغذیه از پایه 83 به عنوان
کلاک خارجی اسیلاتوری استفاده کردم؟
اما بعد از گزروندن تمام مراحل و لود کردن تو تراشه همه پایه هام 0 سینک شده هستن؟؟؟؟/
اشکال کجاست؟مطمئنن از برنامه نیست چون من سنتز و سیمولت هم کردم؟آیا تراشه من سوخته؟
راستی چه جوری بفهمم تراشم سوخته؟یه روش آسون لطفا......؟
این هم برنامه من.....؟
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity aaa is port(
clk: in std_logic;
led: out std_logic);
end aaa;
architecture Behavioral of aaa is
signal cnt1: std_logic;
begin
process( clk)
begin
if( rising_edge( clk)) then
cnt1<= '0' ;
else if( cnt1= '1'

end if;
end if;
end process;
led<= cnt1;
end Behavioral ;
ضمنا من تو برنامه نویسی و هک واردم کسی خواست در مورد هر زبونی و جزوه و مقاله من در خدمتم!
یه مقاله در مورد طراحی کنترلر های قطعه قدرت در منابع تغذیه سوئیچینگ نوشتم با برنامه VHDL هر کس خواست لب تر کنه !من نوکرتونم فقط مشکل منو تو سخت افزار حل کنید؟ :rolleyes:
دیدگاه