اطلاعیه

Collapse
No announcement yet.

نوشتن برنامه واحد ALU با VHDL

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    نوشتن برنامه واحد ALU با VHDL

    در مورد نوشتن کد VHDL برای ساختن یک واحد ALU کمک می خواستم .اگه مطلب و یا منبعی رو هم معرفی کنید ممنون میشم.

    #2
    پاسخ : نوشتن برنامه واحد ALU با VHDL

    کد:
    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.std_logic_unsigned.all;
    use ieee.std_logic_arith.all;
    
    ---------------------------------------------------
    
    entity ALU is
    
    port(	A:	in std_logic_vector(1 downto 0);
    	B:	in std_logic_vector(1 downto 0);
    	Sel:	in std_logic_vector(1 downto 0);
    	Res:	out std_logic_vector(1 downto 0) 
    );
    
    end ALU;
    
    ---------------------------------------------------
    
    architecture behv of ALU is
    begin					  
    
      process(A,B,Sel)
      begin
      
    	-- use case statement to achieve 
    	-- different operations of ALU
    
    	case Sel is
    	  when "00" =>
    		Res <= A + B;
    	  when "01" =>						
    	    Res <= A + (not B) + 1;
          when "10" =>
    		Res <= A and B;
    	  when "11" =>	 
    		Res <= A or B;
    	  when others =>	 
    		Res <= "XX";
        end case;
    
      end process;
    
    end behv;
    این کد به این سادگی! مرجع می خواد چیکار؟

    دیدگاه


      #3
      پاسخ : نوشتن برنامه واحد ALU با VHDL

      سلام ببخشید برنامه تایمر در زبان vhdl به چه صورت هست؟
      یک تمرینه از کتاب pedroni که گفته با یک دکمه عمل
      start, stop را متناوبا انجام دهد و همچنین وقتی بیشتر
      از 2 ثانیه فشرده شود مدار reset شود.
      قسمت بیش از 2 ثانیه را نمیدونم چطور بنویسم
      خواهش میکنم کمکم کنید

      دیدگاه

      لطفا صبر کنید...
      X